trying to fix reset of start... again

This commit is contained in:
Miles Lott 2001-07-08 14:31:59 +00:00
parent d1e13ba917
commit 5faec95d69

View File

@ -51,7 +51,11 @@
}
global $start,$limit,$query,$sort,$order,$filter,$cat_id;
if($start || $start == 0) { $this->start = $start; }
if(!empty($start) || ($start == "0" ))
{
if($this->debug) { echo '<br>overriding start: "' . $this->start . '" now "' . $start . '"'; }
$this->start = $start;
}
if($limit) { $this->limit = $limit; }
if(!empty($query)) { $this->query = $query; }
if(!empty($sort)) { $this->sort = $sort; }